ABOUT ME

-

Today
-
Yesterday
-
Total
-
  • 반도체 공정 #5 Ethching
    Semi-process 2022. 2. 7. 00:11

    Ethching

    감광막 현상 공정이 끝난 후 감광막 밑에 길러진 또는 박막들을 공정 목적에 따라 부분적으로 제거하는 기술

    감광제에 패턴이 형성된 후, 감강제의 패턴을 실제 박막으로 옮기는 과정이며 불필요한 부분을 제거하는 공정이다.

     

     

    Etching 종류

     

    1. Wet etch

     

    용액성 화학 약품을 이용한다(산or염기, HF dip) 

    Wafer 전면 식각에 주로 사용한다.

    생산성이 높고,  비용이 저렴하다.

    가공의 정밀도가 낮다.

    횡방향으로도 깎이기 때문에 Under cut 이 발생한다.

     

     

    2. Drt etch

     

    가스 선택과 조절이 쉽다.

    이방성, 등방성 식각이 가능하다.

    밀착성 유지가 쉽다.

    식각의 종말점을 확인, 검출이 가능하다.

    Etching공정 중요 고려사항

    1. Uniformity: 균일하게 에칭을 진행해서 에칭 후에도 고른 모양을 유지하도록 해야한다.

    2. Etch rate: 에칭 되는 속도를 나타내는 요소로 (깎인 두께/깎은 시간)으로 표현한다. 너무 낮으면 생산성이 낮고

    너무 높으면 컨트롤 하기 힘들기 때문에 적절한 속도 요구

     

    ​3. Selectivity: 다른 물질 대비 에칭하고자 하는 물질의 에칭 정도를 나타내는 척도.

    (원하는 깎임/원하지 않은 깎임)으로 표현

     

    4. By-product: 에칭 후 남은 부산물들이 잘 제거되어야 함

     

    5.. Anisotropy: 방향성을 나타내는 척도. 방향성이 좋아야 원하는 모양으로 에칭을 진행할 수 있다.

    A가 1에 가까워질수록 원하는 모양으로 에칭가능

    Wet etch VS Dry etch

      Wet etch Dry etch
    Size 3μm 이하 사용 힘듬 더 작게 가능
    Direction Isotropic Anisotropic
    Velocity Fast Slow
    Selectivity High Low
    Throughput High Low

     

    Isotiopic & Unisotropic

     

    Wet etch 종류

    1. SiO2 wet etching = HF로 제거

    SiO2+6HF → H2SiF6 +2H2

    HF의 농도 감소 방지를 위해 BOE(buffered Oxide etchant)를 넣음

     

    Selectivity: PR & Si low, SiO2 hihg so, SiO2 etch good

    etch rate: Thermal grown Oxide = 0.2~0.3um/min PECVD - SiO2 = 1um/min.

    (Not absolute, But Sol different by quality if SiO2)

    2. Si3N4 wet etching = Boiling H3PO4

    HF 나 BHF로도 Si3N4를 에칭 가능

    etch rate is

    HF: 10~100 nm/min

    BHF: 1~10 nm/min

     

    But Si3N4와 SiO2 사이에서 Selectivity가 좋지 않으므로 사용하기 어렵다.

    So, Use Boiling H3PO4

    etch rate is

    Si3N4 : 10nm/min

    SiO2 : 1nm/min

    Si : 0.3nm/min

    Si3N4만 큰 값을 가지기 때문에 Selectivity가 좋다.(선택적 etching 가능)

    3. Si wet etching = HNA (HF + HNO3 + CH3COOH)

    8HF + 4HNO3 +3Si → 2H2SiF6+ 8H2O + 4NO

     

    HF, HNO3 , CH3COOH different etch rate about each mixture percent

    ​if)

    HF : HNO3 : CH3COOH = 1 : 3 : 8 ----> Si etch rate 0.7~3um/min ( SiO2: 0.03um/min )

    HF : HNO3 : CH3COOH = 1 : 2 : 1-----> Si etch rate 4um/min ( SiO2: X )

    HF : HNO3 : CH3COOH = 1 : 8.3 : 3.3-----> Si etch rate 7um/min ( SiO2: 0.07 um/min )

    Drt etch 종류

     

    1. ion milling(Sputtering)

    Ar 이온을 전기장에 의해 가속시켜서 물리적 충돌을 이용하는 etching

    방향성이 크고 작은 line 패터닝에 유용하다. 에칭이 쉽다는 장점 존재.

    하지만 뭐든지 에칭시키기 때문에 Selectivity가 매우 낮다

     

    ☞ Low selectivity problem

    1-1) Mask tapering: Mask가 Erosion되어 패턴 안으로 들어가는 현상

     
     

     

    1-2) Redeposition: High E acceleration → Mask의 물질을 깎아 내면서 다른 곳에 다시 증착 되는 현상

     

    1-3) Trenching: 패턴 Edge쪽에 깊이 파여 etching이 많이 되는 현상

     

    2. CCP(Capacitivity coupled plasma)

    두 축전 전극에 의해 Plasma가 발생하는 type의 설비를 얘기하고, 일반적으로는 RF source를 사용하여 Plasma 생성한다.

    CCP 설비 분류 예시

     

    2-1) Plasma Etching

    반응물 자체를 Plasma화 하는 방법. Plasma가 없으면 Reaction하지 않는 물질이나 Gas를 사용한다.

    즉 Plasma화가 되면 Radical을 만드는데 이는 매우 반응성이 높다.

    압력이 상대적으로 높기 때문에 Reactive gas를 많이 만들어서 화학적 작용이 주된 작용이다.

    장점은 Selectivity하고 Batch process가 가능하다는 점이다. 반면 단점은 플라즈마화 가능한 물질이 적다.

    2-2) RIE( Reactive ion Etching)

    Sputter와 Plasma etching을 동시에 이용하는 방법 ( Physical + chemical)

    Reactive gas를 이온화시키고 Electrode를 작게 만들어 전압강하가 일어나 가속화시켜서 진행한다.

    Plasma만 이용하는 에칭보다는 압력이 낮아 충돌이 적어져 비등방성 식각을 한다.

    2-3) Triode

    Hige f: Plasma 밀도 조절 + Low f : 이온 E 조절

    비등방성 식각, Wide pressure range

     

    Uniform Plasma 생성에는 좋지만, Plasma density 가 낮아 고속/low damage 식각에 불리하다

     

    CCP TYPE Problem sol

     

    1. ICP (Inductively Coupled Plasma) - RIE

    Coil에 의해 유도되는 자기장 & 유도 전기장에 의한 전자 가속으로 고밀도 Plasma 생성가능

    구조가 간단하고, 대구경화에 용이하다.

     

    2. ECR (Electron Cyclotron Resonance) - RIE

    Wave - heated 방식의 한 종류로 Microwave 로 자기장 발생

    곰먕 흡수로 인한 전자 에너지 증가 → 고밀도 Plasma 생성

    Low damage, 복잡한 구조, 대구경 기판에서 Uniformity 문제 발생

     

    Dry etching parameters

     

    1. Pressure

    if

    P↑ = Scattering 증가 → Radical dominent (Chemical etch)

    P↓ = Acceleration 증가 → ion dominent (Physical etch)

     

    2. Power

    if

    Power ↑ = Plasma density 증가, Etch V 상승

     

    3. Gas

    반응성이 높은 17족 원소 사용

     

Designed by Tistory.